Intel niye Nanometre İsimlendirmesine Son Veriyor?

Adanali

Member
AMD’nin Ryzen işlemcileriyle son birkaç yılda pek sıkıntı duruma soktuğu Intel, pazarı yinedan ele almak ismine geliştirdiği teknolojileri piyasaya sunma konusunda istekli. Ancak bu yeniliklerin o denli yenilir yutulur cinsten şeyler olmadığını, silikon işlemciler için milat niteliğinde bir gelişimin kapısını araladığını söyleyebiliriz.

Az buçuk teknoloji gündemini takip eden biriyseniz, Intel haricinde işlemci üreten AMD dahil biroldukça firmanın maliyetleri azaltmak ismine dış kaynak üretim tesislerine yöneldiğini kesinlikle görmüşsünüzdür. Intel ise kendisine bu tarafta yapılan o kadar tenkide karşın dış kaynak üretim tesislerine yönelmeye pek sıcak bakmıyordu.

Bunun sonucunda Intel kendi üretim teknolojilerini geliştirmeye devam edip fabrikalarına yatırım yaparken, AMD’nin ise TSMC’nin gelişmiş litografi teknolojilerini kullanarak Intel’e kıyasla daha verimli işlemciler ürettiğine hepimiz şahit olduk. Pazar gittikçe AMD tarafına kaymaya başladı. TSMC ile işlemcilerde ölçü olarak da farklı üniteler kullanan Intel’in ismi makus anılmaya başlandı.

AMD 7nm TSMC üretimine geçiş yapmışken, Intel 14 nm+++ ile devam ediyordu. Bu yüzden işlemci pazarında alıcı kitlesinde şöyleki bir algı oluşmaya başladı: “AMD 7nm iken, Intel hala 14 nm kaldı, hatta 10 nm işlemciyi bu kadar vakitte anca üretebildi”. halbuki bunun rastgele bir gerçekliği yoktu, Intel’in bir daha sonraki kuşakta geçmeyi planladığı 10 nm üretim teknolojisi aslına bakarsanız TSMC’nin 7nm teknolojisine yakındı. Bu niçinle Intel gittikçe pazar hissesi kaybetmeye devam etti, forum dedikodularında uzun uzun nanometre tartışmaları aldı başını gitti.

İşte bu yüzden Intel, nihayet node’ları için TSMC üretimi ile eşleşecek biçimde kullandığı üretim terminolojisini güncelliyor. Şirket tıpkı vakitte birtakım yeni teknolojileri de duyurdu. Olayın art planına dair yapmış yapmış olduğumuz bu özetten daha sonra tanıtılan yeni tabirlerden ve Intel’in kurmayı planladığı yeni üretim merkezi için geliştirmiş olduğu teknolojilerden bahsetmeye başlayabiliriz.


Intel’in Accelerated etkinliğinde Intel CEO’su Pat Gelsinger gelecekteki üretim node’ları için detaylı bir yol haritasını deklare etti. Ayrıyeten 2025 yılına kadar performans odaklı işlemcilerde olan liderliği daha kalıcı bir yere oturtmak için inovasyon odaklı üretim haritalarını hızlandırmaya karar verdiklerini duyurdu. Gelsinger ayrıyeten Intel’in kurucusu ve beraberinde fizikçi George Moore tarafınca ortaya atılan Moore yasasını da şu kelamlarıyla es geçmedi: “Moore yasasını devam ettirme arayışımızda silikon büyüsüyle yenilikler yapmakta acımasız olacağız”.

Intel’in CEO’sunun şirket hakkında bu türlü konuşmalar yapmasına aslında sevinmek gerekiyor. “Intel pazardan çekilecek mi yoksa IBM üzere yapay zeka bilgisayarlarına mı odaklanacak?” söylentileri dala hakimken, Intel en güzel bildiği işi yapacağını önemli bir biçimde ilan etmiş oldu diyebiliriz. Güzel bir rekabetin de her vakit kullanıcılara artı olarak döndüğünü de unutmamak gerekiyor.

Bu şirket amaçlarını hızlandırma ve bir daha pazarlama stratejisi, Intel’in 23 Mart 2021 yılında web sitesinde duyurmuş olduğu IDM 2.0 projesi için de çok kıymetli bir atılım. IDM 2.0 ile Intel, Arizona’da 20 milyar dolar yatırımla iki adet fabrika daha açacağını ve dünya çapındaki müşterilere hizmet vermek için ABD ve Avrupa’da büyük bir üretim merkezi kuracağını söylemişti. Buradan yola çıkarak Intel’in yeni standartlar ile Asyalı TSMC’nin rakibi olmayı planladığını söyleyebiliriz. Intel işte tam da bu niçinle ölçüm prosedürünü birbirileriyle uyumlu olacak biçimde belirledi diyebiliriz. bu biçimdece TSMC ile kendi ortalarında doğacak olan rekabeti daha düzgün tahlil edebilecek, ataklar yapabilecek.

Sanayinin esasen halihazırda transistörlerin gate uzunluğunu ölçmek için kullanılan nanometre ünitesinden çok vakit geçtikçe daha küçük boyutları da anlaşılır bir biçimde tabir etmek için yeni bir terminolojiye muhtaçlık duyduğu bariz görülüyordu. Transistörlerin 3d hale geldiği zamandanlardan beri yani 2011 yılında FinFET’e (Intel terminolojisinde Tri-Gate olarak geçer) geçiş süreciyle birlikte tek boyutlu ölçüm aslına bakarsanız işlemci üretim teknolojilerinden alakasız bir hale gelmişti.

Intel bir daha de bunu düzeltmek için biraz geç kaldı diyebiliriz. AMD, üretim ortağı olan TSMC ile birlikte nominal olarak 7nm olan işlemciler piyasaya sürüp pazarlama stratejisini ilerletirken Intel ise 14 nm node’larda çürüyor üzere gözüktü. Lakin gerçekte transistör yoğunluğu kelam konusu olduğunda Intel’in 10nm node’ları, TSMC üretimi 7nm node’lara fazlaca daha yakındı.

Intel bunun farkına varmış olacak ki, bütün bu saçmalığın üstüne bir çizgi çekiyor ve nanometre kavramını büsbütün ortadan kaldırıyor. Yaklaşmakta olan yeni Alder Lake işlemcileri oluşturan Enhanced SuperFin node’larından yola çıkarak artık has bir ölçüm kuralı kullanılacağını duyuruyor. birinci vakit içinderda nominal 10nm Enhanced SuperFin node’ların yeni ismi Intel 7 olacak. Bu direkt TSMC’nin N7 üretimine denk bir üretim süreci diyebiliriz.

Intel 7 ile birlikte toplu üretim sürecinde bu node’ların evvelki klasik 10nm SuperFin’e bakılırsa watt başına %10 ila %15 performans yararı sağladığı biliniyor. Bu niçinle Intel bu üretim teknolojisine yeni bir isim vermenin yanlışsız olduğunu düşünüyor. Bu yenilenme sürecinde beklenebilecek olağan bir değişiklik. Intel elbet pazarlarda bunu epeyce uygun biçimde kullanacaktır.

sonrasındasında şayet nanometreler kalkmasaydı 7nm olarak anılacak olan ve TSMC’nin N4 üretim sürecine rakip olarak çıkacak Intel 4’ü görüyoruz. Intel 3 ve Intel 20A ile de silikonun sonları zorlanacağa benziyor.


Intel Accelerated etkinliğinde gösterilen görsele bakılırsa bu yeni süreçlerle aşağıdaki performans kazanımları ve teknolojiler silikon dünyasına gelecek. Görselde yazanlbazı birtakımla değinecek olursak,

Intel 7

FinFET transistörlerinin geliştirilmesine dayalı olarak geliştirilen bu mimari, Intel 10nm SuperFin’e kıyasla watt başına yaklaşık olarak %10 ila %15 ortası performans artışı sağlayacak. 2021 yılında Alder Lake mimarisinde ve 2022’nin birinci çeyreğinde Sapphire Rapids data merkezi işlemcileri için üretime geçmesi beklenen işlemcilerde kullanılacak.

Intel 4

Ultra kısa dalga uzunluklu ışıklar kullanılarak inanılmaz derecede küçük teknolojileri işlemcilerin içine yerleştirmek için EUV litografiden büsbütün faydalanılacak. Alan konusunda sağlanan iyileştirmelerin yanı sıra, watt başına ise %20’lik bir performans artışı sunulacak. Intel 4, son kullanıcılar için Meteor Lake ve Granite Rapids mimarilii bilgi merkezi işlemcileri 2023’te çıkış yapacak. 2022’nin ikinci yarısında ise üretime hazır hale gelecek.

Intel 3

Intel 4’e kıyasla yapılan ek alan iyileştirmelerinin yanı sıra watt başına %18’lik bir performans artışı sağlamak gayesiyle FinFET’ten ve geliştirilmiş EUV’dan yararlanacak. 2023 yılının ikinci yarısında üretime hazır olacak.

Intel 20A: Angstrom Evresi

20A ile Intel, iki adet yeni ve çığır açan RibbonFET ve PowerVia ile işlemcilerin angstrom çağını başlatıyor. Intel’in hayli istikametli geliştirdiği bir transistör olan RibbonFET, 2011’de FinFET’i çıkardığından bu yana şirketin birinci yeni transistör mimarisi olacak. Bu teknolojiyle birlikte daha küçük bir alanda birden çok kanatçık sayesinde şoförler eklerken, daha süratli transistörler sağlayacak. PowerVia ile ise Intel’in sanayide güç konusunda yapmış olduğu bir yenilik. Art güç dağıtımı tekniği olan PowerVia, yonganın ön plakasında güç yönlendirme gereksinimini ortadan kaldırarak sinyallerin iletimini daha optimize hale getiriyor. Intel 20A’nın ise 2024’te üretimde olması bekleniyor.

2025 yılı ve daha sonrası

Intel 20A’nın ötesinde, Intel 18A yeni transistör teknolojisi olan RibbonFET’e yapılan optimizasyonlarla birlikte aslına bakarsanız 2025’in başlarında geliştirilmeye başlanacak. Intel ayrıyeten yeni kuşak High NA EUV teknolojisini de geliştirmeye çalışacak. Bu niçinle bölümde bu alandaki birinci üretim aracını almak için ASML ile yakın işbirliği ortasında bulunuyor.

Intel niye İsim Değişikliğine Gidiyor?

Elbet ki bütün olay yalnızca yenilikten ibaret değil. İşin ortasında biraz da kurulacak olan üretim merkezinin pazarlaması kelam konusu. Kullanıcı bölümünden karşılık buldukları takdirde büyük üreticilerin de Intel’in dökümhanelerine yönelmeye başlamasına şaşırılmamalı. bir daha de farklı üreticilerin çiplerinden de bahsetmeye devam ederken umarız yeni teknikler daha ayrıntılı hale gelir.

Bundan daha sonra, 2023’ün sonunda teslim edilecek olan Intel 3’ün ötesinde işlerin epeyce farklı bir hale büründüğü yer ise 2024 yılında çıkacak olan Intel 20A ile bir daha isim değiştirilmesi. Yarı iletkenlerin angstrom çağının birinci node’una Intel 20A isminin verilmesi 1nm’nin altında nominal üretimin hedeflendiğinin göstergesi.

Angstrom nedir?

Burası ayrıyeten Intel’in sıradanleştirdiği node isimlendirmesini yinedan karıştırdığı yer olabilir. 1 angstrom sözün tam manasıyla 0.1 nanometreye eşit olan 1nm altı bir ölçüm ünitesidir. Lakin Intel, Intel 20A teknolojisindeki A harfinin angstrom manasına gelmesine karşın bunun ölçümü değil yalnızca mimariyi söz eden bir isim olduğunu belirtmekte zorluk çekiyor. Yani Intel 20A, 2 nm transistörleri ölçen bir müddetç sanılmamalı çünkü o denli olmayacak.

Bu yeni angstrom yongaları Intel’in yakalamayı umduğu yeni isimlendirme kurallarıyla gelmekle kalmayacak ayrıyeten 2011 yılında birinci vakit içinderda 22 nm olarak çıkan FinFET’ten bu yana geliştirilen birinci yeni Intel transistörü olan RibbonFET ile gelecek. NanoSheet yahut GAA olarak da bilinen RibbonFET, 2024’te Intel 20A ile piyasaya çıkacak.

Intel 20A ayrıyeten PowerVia ismi verilen yeni bir güç dağıtım modelini de kendisiyle birlikte getirecek. Sinyal iletimi daha optimize hale gelecek ve üretim sürecine çok katkıda bulunacak.


Bunların hepsi son kullanıcı için bakıldığında pek teknik hususlar ama biraz da geleceğe yönelik varsayım. Intel aslına bakarsanız 2025 yılında 18A ile birlikte watt başına süreç performansı konusunda fazlacatan başkan olmuş olmayı planlıyor. Intel bunun performans yarışından hayli daha farklı bir şey olduğunu ısrarla bildirse de, son kullanıcıların umursadığı tek şey şüphesiz ki performans ve güç tüketimi olacak.

Kullanıcılar, asıl istedikleri şeyi yani performans liderliğini AMD bir atak yapmadığı sürece ilerleyen senelerda eskiye emsal biçimde Intel’de bulacak üzere gözüküyor.